Waveform Viewer

When you want to debug your VHDL design, it is very useful to be able to watch a graphical representation of your signals. GHDL can generate a waveform file whic hcan be read by GTKWave.

GHDL supports two formats, the first format is VCD (Value Change Dump), which is an open format defined by Verilog. The specification of the format is defined by the Verilog LRM. VCD is an ASCII format, so VCD files grow quickly. Most of the waveform viewers support VCD.

You can generate a VCD file from your design by using the --vcd=filename option. Refer to the GHDL user guide for more details.


GTKWave in action.

Since VCD is Verilog oriented, it is not possible to dump all the VHDL types with VCD. Furthermore, there is no open waveform file format for VHDL. That is the reason why a GHDL waveform format was created.

Recent versions of GTKWave can read both formats. Do not forget to set hier_max_level to 0 to see the full signal name. Also, vectors are always expanded.