dlx/images.vhdl

    1 --------------------------------------------------------------------------
    2 --
    3 --  Copyright (C) 1993, Peter J. Ashenden
    4 --  Mail:	Dept. Computer Science
    5 --		University of Adelaide, SA 5005, Australia
    6 --  e-mail:	petera@cs.adelaide.edu.au
    7 --
    8 --  This program is free software; you can redistribute it and/or modify
    9 --  it under the terms of the GNU General Public License as published by
   10 --  the Free Software Foundation; either version 1, or (at your option)
   11 --  any later version.
   12 --
   13 --  This program is distributed in the hope that it will be useful,
   14 --  but WITHOUT ANY WARRANTY; without even the implied warranty of
   15 --  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
   16 --  GNU General Public License for more details.
   17 --
   18 --  You should have received a copy of the GNU General Public License
   19 --  along with this program; if not, write to the Free Software
   20 --  Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
   21 --
   22 --------------------------------------------------------------------------
   23 --
   24 --  $RCSfile: images.vhdl,v $  $Revision: 1.1 $  $Date: 2000/05/08 14:36:48 $
   25 --
   26 --------------------------------------------------------------------------
   27 --
   28 --  Images package specification.
   29 --
   30 --  Functions that return the string image of values.
   31 --  Each image is a correctly formed literal according to the
   32 --  rules of VHDL-93.
   33 --
   34 --------------------------------------------------------------------------
   35 
   36 package images is
   37 
   38 
   39   -- Image of bit vector as binary bit string literal
   40   -- (in the format B"...")
   41   -- Length of result is bv'length + 3
   42 
   43   function image (bv : in bit_vector) return string;
   44 
   45 
   46   -- Image of bit vector as octal bit string literal
   47   -- (in the format O"...")
   48   -- Length of result is (bv'length+2)/3 + 3
   49 
   50   function image_octal (bv : in bit_vector) return string;
   51 
   52 
   53   -- Image of bit vector as hex bit string literal
   54   -- (in the format X"...")
   55   -- Length of result is (bv'length+3)/4 + 3
   56 
   57   function image_hex (bv : in bit_vector) return string;
   58 
   59 
   60 end images;
   61 
   62 

This page was generated using GHDL 0.14 (20040829) [Sokcho edition], a program written by Tristan Gingold