dlx/dlx_types.vhdl

    1 --------------------------------------------------------------------------
    2 --
    3 --  Copyright (C) 1993, Peter J. Ashenden
    4 --  Mail:	Dept. Computer Science
    5 --		University of Adelaide, SA 5005, Australia
    6 --  e-mail:	petera@cs.adelaide.edu.au
    7 --
    8 --  This program is free software; you can redistribute it and/or modify
    9 --  it under the terms of the GNU General Public License as published by
   10 --  the Free Software Foundation; either version 1, or (at your option)
   11 --  any later version.
   12 --
   13 --  This program is distributed in the hope that it will be useful,
   14 --  but WITHOUT ANY WARRANTY; without even the implied warranty of
   15 --  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
   16 --  GNU General Public License for more details.
   17 --
   18 --  You should have received a copy of the GNU General Public License
   19 --  along with this program; if not, write to the Free Software
   20 --  Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
   21 --
   22 --------------------------------------------------------------------------
   23 --
   24 --  $RCSfile: dlx_types.vhdl,v $  $Revision: 1.1 $  $Date: 2000/05/08 14:36:48 $
   25 --
   26 --------------------------------------------------------------------------
   27 --
   28 --  Package specification for types used in dlx model
   29 --
   30 
   31 
   32 package dlx_types is
   33 
   34   subtype dlx_word is bit_vector(0 to 31);              -- bit 0 is msb
   35   subtype dlx_halfword is bit_vector(0 to 15);          -- bit 0 is msb
   36   subtype dlx_byte is bit_vector(0 to 7);               -- bit 0 is msb
   37 
   38   type dlx_word_array is array (positive range <>) of dlx_word;
   39   function resolve_dlx_word (values : in dlx_word_array) return dlx_word;
   40   subtype dlx_word_bus is resolve_dlx_word dlx_word;
   41 
   42   subtype dlx_address is bit_vector(31 downto 0);       -- bit 0 is lsb
   43 
   44 end dlx_types;
   45 

This page was generated using GHDL 0.14 (20040829) [Sokcho edition], a program written by Tristan Gingold